본문 바로가기
전공 수업/논리회로 실습

[11주 차] - 코드 변환기(그레이 코드, BCD 코드, 3초과 코드, 2421 코드)

by TwoJun 2022. 11. 9.
728x90
반응형

    과목명 : 논리회로 실습(Logic circuit practice)

수업일자 : 2022년 11월 08일 (화)

 

 

 

 

 

1. 2진 코드를 그레이 코드로 변환

1-1. 4비트 2진 코드그레이 코드

- 아래의 표는 4비트 2진 코드를 그레이 코드로 변환하는 진리표이며,  그에 해당하는 논리 회로입니다.

 

- 그레이 코드는 논리적, 물리적 차이를 일치하도록 하는 코드를 의미하며, 특정 위치를 제어할 때 사용됩니다.

4비트 2진 코드를 그레이 코드로 변환하는 변환표

 

 

 

1-2. (좌) 2진 코드  → 그레이 코드 / (우) 그레이 코드  → 2진 코드

(좌) 2진 코드를 그레이 코드로, (우) 그레이 코드를 2진 코드로 변환하는 변환표

 

 

 

 

 

2. BCD 코드를 2421 코드로 변환

2-1. BCD 코드 2421 코드

2421 코드는 대표적인 가중치 코드이며  BCD 코드를 2421 코드로 변환할 때는 BCD가 숫자 10개만 사용하므로 1010~1111 6개는 무관항(Don't care)으로 처리하며 카르노 맵을 이용하여 간략화할 수 있습니다. 

BCD 코드를 2421 코드로 변환하는 변환표

 

 

 

2-2. 카르노 맵을 이용한 출력식 간소화와 논리 회로

- 카르노 맵을 이용하여 각 출력식을 간소화할 수 있습니다.

BCD 코드를 2421 코드 변환 시 출력식에 대한 카르노 맵, 논리식

 

SOP를 이용하여 간소화한 논리 회로

 

 

 

 

 

 

3. BCD 코드를 3초과 코드로 변환

3-1. BCD 코드 3초과 코드

- 3초과 코드는 기존 BCD 코드에 3을 더한 코드이며, 자기 보수의 성질을 가지고 있습니다.

 

- BCD 코드의 경우 0~9(0000~1001)만 사용하므로 나머지 10~15(1010~1111)는 무관항(Don't care)으로 처리합니다.

 

4비트 BCD 코드를 3초과 코드 변환하는 변환표

 

 

 

3-2. 카르노 맵을 이용한 논리식 간소화, 논리회로

- 카르노 맵을 이용하여 각 출력식을 간소화할 수 있습니다.

4비트 BCD 코드를 3초과 코드로 변환 시 출력식에 대한 카르노 맵, 논리식

 

 

SOP를 이용하여 간소화한 논리 회로

 

 

 

 

 

4. 4비트 2진수를 BCD 코드로 변환

4-1. 4비트 2진수 코드 → BCD 코드

- 4비트 2진수를 BCD 코드로 변환 시, 진리표를 그린 후에 이를 바탕으로 카르노 맵을 이용하여 논리식을 작성한 뒤 회로를 구성해도 무관합니다. 

 

- 4비트 비교기 74854비트 가산기 7483을 이용한다면 아래와 같이 회로를 설계할 수 있게 됩니다.

 

- 단, 2진수가 10 이상일 때 6을 더해 보정하여 BCD 코드로 변환합니다.

4비트 2진수 코드를 4비트 BCD 코드 변환하는 변환표

 

 

 

4-2. 회로 구성 (7485 4비트 비교기와 7483 4비트 가산기 이용)

7485 4비트 비교기와 7483 4비트 가산기를 이용한 회로 구성

 

 

 

 

5. Simulation

5-1. 4비트 2진수를 BCD 코드로 변환하는 회로 실험

 

 

 

 

5-2. 회로 구성

 

 

 

 

- 학부에서 수강했던 전공 수업 내용을 정리하는 포스팅입니다.

- 내용 중에서 오타 또는 잘못된 내용이 있을 시 지적해 주시기 바랍니다.

728x90
반응형

댓글